Exploring Area and Delay Tradeoffs in FPGAs With Architecture and Automated Transistor Design

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2011)

引用 36|浏览2
暂无评分
摘要
Field-programmable gate arrays (FPGAs) are used in a variety of markets that have differing cost, performance and power consumption requirements. While it would be ideal to serve all these markets with a single FPGA family, the diversity in the needs of these markets means that generally more than one family is appropriate. Consequently, FPGA vendors have moved to provide a diverse set of families that sit at different points in the area-speed-power design space. This paper aims to understand the circuit and architectural design attributes of FPGAs that enable tradeoffs between area and speed, and to determine the magnitude of the possible tradeoffs. This will be useful for architects seeking to determine the number of device families in a suite of offerings, as well as the changes to make between families. We explore a broad range of architectures and circuit designs and developed a transistor sizing tool that automatically optimizes each design. In this paper, we describe this tool and demonstrate that it achieves results that are comparable to past work but with vastly less effort. We then use the designs produced by the tool to explore the range of tradeoffs possible. We find that through architecture and transistor sizing changes it is possible to usefully vary the area of an FPGA by a factor of 2.0 and the performance of an FPGA by a factor of 2.1. We also observe that the range of area and delay tradeoffs possible by varying only the transistor sizing of a single architecture is larger than the ranges observed in past architectural experiments. In addition to transistor size, we note that LUT size is one of the most useful parameters for trading off area and delay.
更多
查看译文
关键词
architecture,logic circuits,delay tradeoffs,field-programmable gate arrays,area delay tradeoffs,circuit designs,transistor sizing change,fpga vendors,area-speed-power design space,field-programmable gate array (fpgas),possible tradeoffs,transistor sizing tool,single architecture,single fpga family,broad range,exploring area,automated transistor design,transistor sizing,architectural design attribute,fpga vendor,field programmable gate arrays,transistors,architectural design,multiplexing,routing,optimization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要