Cache Bank-Aware Denial-of-Service Attacks on Multicore ARM Processors.

RTAS(2023)

引用 0|浏览6
暂无评分
摘要
In this paper, we identify that bank contention in the shared last-level cache (LLC) of multicore processors can cause significant execution time slowdown to cross-core victims even when the cache is partitioned. We propose a Cache Bank-Aware Denial-of-Service (DoS) attack, which is specially engineered to induce bank contention. This is accomplished by leveraging publicly available cache bank mapping information on commercial off-the-shelf (COTS) ARM multicore processors to generate a large number of concurrent memory accesses to a particular cache bank. Importantly, this attack can be mounted from the user-space to delay cross-core victims without requiring any special privilege or OS level support. We implement and evaluate the proposed DoS attack on two ARM multicore platforms using both synthetic and real-world workloads as victim tasks. The results show that the proposed Cache Bank-Aware DoS attack causes up to 9.7X slowdown in synthetic workloads and 2.3X slowdown in real-world workloads even when state-of-the-art isolation techniques, namely LLC partitioning and DRAM bandwidth throttling, are used to protect the victim. In other words, the proposed attack can effectively bypass existing DoS attack mitigation techniques. We identify LLC bandwidth throttling and LLC bank partitioning as potential mitigation solutions and discuss their limitations.
更多
查看译文
关键词
bank contention,cache bank mapping information,cache bank-aware denial-of-service attack,cache bank-aware DoS attack,commercial off-the-shelf ARM multicore processors,concurrent memory access,cross-core victims,DoS attack mitigation techniques,DRAM bandwidth throttling,last-level cache,LLC bandwidth throttling,LLC bank partitioning,real-world workloads,synthetic workload
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要