OpenROAD: Toward a Self-Driving, Open-Source Digital Layout Implementation Tool Chain

T. Ajayi,D. Blaauw,T.-B. Chan, C.-K. Cheng,V. A. Chhabria, D. K. Choo, M. Coltella,R. Dreslinski,Mateus Fogaça,S. Hashemi, A. Ibrahim, A. B. Kahng,M. Kim,J. Li, Z. Liang,U. Mallappa, P. Penzes, G. Pradipta, S. Reda,A. Rovinski,K. Samadi,S. S. Sapatnekar,L. Saul, C. Sechen, V. Srinivas, W. Swartz,D. Sylvester, D. Urquhart,L. Wang,M. Woo,B. Xu

semanticscholar(2019)

引用 16|浏览7
暂无评分
摘要
We describe the scope and initial efforts of OpenROAD, a project in the DARPA IDEA program that pursues open-source tools for 24-hour, “no human in the loop” digital layout generation across integrated circuit, package and board domains. If successful, OpenROAD will help realize the IDEA goal of “democratization of hardware design”, by reducing cost, expertise, schedule and risk barriers that confront system designers today. Several novel technical directions follow directly from the IDEA program’s 24-hour, no-humans goals. These include (i) enablement of pervasive machine learning in and around design tools and flows, (ii) parallel search and optimization to exploit available cloud resources, (iii) partitioning and problem decomposition to reduce solution latency, and (iv) layout generation methodologies that provide “freedoms from choice” without undue loss of design quality. Further, the development of open-source, self-driving design tools is in and of itself a “moon shot” with numerous technical and cultural challenges.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要