MARSSx 86 : A Full System Simulator for x 86 CPUs

Avadh Patel,Furat Afram, Shunfei Chen, Kanad Ghose

semanticscholar(2011)

引用 3|浏览1
暂无评分
摘要
We present MARSS, an open source, fast, full system simulation tool built on QEMU to support cycle-accurate simulation of superscalar homogeneous and heterogeneous multicore x86 processors. MARSS includes detailed models of coherent caches, interconnections, chipsets, memory and IO devices. MARSS simulates the execution of all software components in the system, including unmodified binaries of applications, OS and libraries.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要