Accurate Shielded Interconnect Delay Estimation by Reconfigurable Ring Oscillator.

IEEE Transactions on Circuits and Systems I: Regular Papers(2018)

引用 2|浏览16
暂无评分
摘要
Shielding, which is used in VLSI designs to prevent noise interference from the cross-coupling capacitance between adjacent signals can also be used to tune the propagation delay of the clock signals in designs operating at low GHz frequencies. This paper presents a detailed design for a 16-nm ring oscillator with built-in reconfigurable shielding, and a delay estimation methodology. Together thes...
更多
查看译文
关键词
Delays,Wires,Integrated circuit interconnections,Silicon,Ring oscillators,Logic gates,Capacitance
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要