Raven: A 28nm RISC-V vector processor with integrated switched-capacitor DC-DC converters and adaptive clocking

2015 IEEE Hot Chips 27 Symposium (HCS)(2015)

引用 24|浏览201
暂无评分
摘要
This article consists of a collection of slides from the authors' conference presentation. The topics discussed included: Motivation/Raven Project Goals; On-Chip Switched Capacitor DC-DC Converters; Raven3 Chip Architecture; Raven3 Implementation; Raven3 Evaluation; and RISC-V Chip Building at UC Berkeley.
更多
查看译文
关键词
RISC-V vector processor,adaptive clocking,UC Berkeley,RISC-V Chip building,Raven3 evaluation,Raven3 implementation,Raven3 chip architecture,on-chip switched capacitor DC-DC converters,Raven Project goals,size 28 nm
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要