Poisonivy: Safe Speculation For Secure Memory

International Symposium on Microarchitecture(2016)

引用 66|浏览100
暂无评分
摘要
Encryption and integrity trees guard against physical attacks, but harm performance. Prior academic work has speculated around the latency of integrity verification, but has done so in an insecure manner. No industrial implementations of secure processors have included speculation. This work presents PoisonIvy, a mechanism which speculatively uses data before its integrity has been verified while preserving security and closing address-based side-channels. PoisonIvy reduces performance overheads from 40% to 20% for memory intensive workloads and down to 1.8%, on average.
更多
查看译文
关键词
PoisonIvy,safe speculation,secure memory,encryption,integrity trees,physical attacks,integrity verification latency,address-based side-channels,memory intensive workloads
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要