Register allocation and VDD-gating algorithms for out-of-order architectures

Computer Design(2013)

引用 1|浏览8
暂无评分
摘要
Register Files (RF) in modern out-of-order microprocessors can account for up to 30% of total power consumed by the core. The complexity and size of the RF has increased due to the transition from ROB-based to MIPSR10K-style physical register renaming. Because physical registers are dynamically allocated, the RF is not fully occupied during every phase of the application. In this paper, we propose a comprehensive power management strategy of the RF through algorithms for register allocation and register-bank power-gating that are informed by both microarchitecture details and circuit costs. We investigate algorithms to control where to place registers in the RF, when to disable banks in the RF, and when to re-enable these banks. We include detailed circuit models to estimate the cost for banking and power-gating the RF. We are able to save up to 50% of the leakage energy vs. a baseline monolithic RF, and save 11% more leakage energy than fine-grained VDD-gating schemes.
更多
查看译文
关键词
computer architecture,leakage currents,microprocessor chips,optimising compilers,MIPSR10K-style physical register renaming,ROB-based register,VDD-gating algorithms,circuit costs,computer architecture,leakage energy,microarchitecture details,out-of-order architectures,power management strategy,register allocation,register files,register-bank power-gating,Computer architecture,Gate leakage,Registers,SRAM cells
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要