Fence Scoping.

SC '14: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis(2014)

引用 14|浏览33
暂无评分
摘要
We observe that fence instructions used by programmers are usually only intended to order memory accesses within a limited scope. Based on this observation, we propose the concept fence scope which defines the scope within which a fence enforces the order of memory accesses, called scoped fence (S-Fence). S-Fence is a customizable fence, which enables programmers to express ordering demands by specifying the scope of fences when they only want to order part of memory accesses. At runtime, hardware uses the scope information conveyed by programmers to execute fence instructions in a manner that imposes fewer memory ordering constraints than a traditional fence, and hence improves program performance. Our experimental results show that the benefit of S-Fence hinges on the characteristics of applications and hardware parameters. A group of lock-free algorithms achieve peak speedups ranging from 1.13x to 1.34x; while full applications achieve speedups ranging from 1.04x to 1.23x.
更多
查看译文
关键词
program control structures,program diagnostics,software performance evaluation,S-Fence,customizable fence,fence instructions,fence scoping,lock-free algorithms,memory accesses,program performance,scope information,Fence instructions,Memory models,Scope,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要