FirmLeak: A Framework for Efficient and Accurate Runtime Estimation of Leakage Power by Firmware

VLSI Design(2015)

引用 2|浏览52
暂无评分
摘要
Separating the dynamic power and leakage power components from total microprocessor power can enable new optimizations for cloud computing. To this end, we introduce FirmLeak, a new framework that enables accurate, real-time estimation of microprocessor leakage power by system software. FirmLeak accounts for power-gating regions, per-core voltage domains, and manufacturing variation. We present an experimental evaluation of FirmLeak on a POWER7+ microprocessor for a range of hardware parts, voltages and temperatures. We discuss how this can be used in two applications to manage power by 1) improving billing of energy for cloud computing and 2) optimizing fan power consumption.
更多
查看译文
关键词
firmware,microprocessor chips,power aware computing,power consumption,FirmLeak,POWER7+ microprocessor,cloud computing,dynamic power components,fan power consumption,firmware,leakage power components,manufacturing variation,microprocessor leakage power,optimizations,per-core voltage domains,power-gating regions,real-time estimation,runtime estimation,system software,total microprocessor power,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要